Welcome![Sign In][Sign Up]
Location:
Search - eeprom Verilog model

Search list

[VHDL-FPGA-VerilogEEPROM_RD_WR

Description: 本程序包含:EEPROM的功能模型(eeprom.v)、读/写EEPROM的verilog HDL 行为模块(eeprom_wr.v)、信号产生模块(signal.v)和顶层模块(top.v) ,这样可以有一个完整的EEPROM的控制模块和测试文件,本文件通过测试。-This procedure includes: EEPROM of the functional model (eeprom.v), read/write EEPROM acts of verilog HDL modules (eeprom_wr.v), signal generator module (signal.v) and top-level module (top.v), this can have a EEPROM complete control module and test document, this document is to pass the test.
Platform: | Size: 109568 | Author: | Hits:

[Embeded-SCM Developi2c_model.tar

Description: I2C EEPROM verilog simulation model
Platform: | Size: 634880 | Author: Ravi | Hits:

[VHDL-FPGA-Verilogeeprom_i2c.tar

Description: I2C EEPROM verilog simulation model
Platform: | Size: 634880 | Author: Ravi | Hits:

[VHDL-FPGA-Verilogeeprom

Description: EEPROM模块源代码,希望对大家有用,方便交流-EEPROM model
Platform: | Size: 2048 | Author: zhao | Hits:

[VHDL-FPGA-Verilogeeprm

Description: verilog编写的EEPROM代码,包括我们最常用的AT24C02/24C04/24C08/24C16-eeprm is a verilog HDL behavioral model for AT24C02/4/8/16
Platform: | Size: 2048 | Author: sam | Hits:

[ARM-PowerPC-ColdFire-MIPS24xx02-Verilog-Model

Description: 24xx02 Verilog Model 在官网上下载的 eepROM 可以参考-Download on the official website 24xx02 Verilog Model eepROM can refer to
Platform: | Size: 7168 | Author: zcf287 | Hits:

[VHDL-FPGA-VerilogVER_I2C_EEPROM.ZIP

Description: EEPROM 的verilog仿真模型(cat24cxx系列)-verilog simulition Model of EEPROM,include cat24cxx
Platform: | Size: 24576 | Author: kang sir | Hits:

[VHDL-FPGA-Verilogen.SPI_EEPROM_Verilog_models_V10

Description: spi接口的eeprom模型,型号为st公司m65pxx(The eeprom model of spi interface is st company m65pxx)
Platform: | Size: 63488 | Author: a2530036128 | Hits:

[VHDL-FPGA-Verilogi2c_24c64

Description: 基于verilog的i2c接口EEPROM 24lc64的测试程序,包括了eeprom的虚拟模型,实际在硬件上验证没问题,也可以通过modleism进行仿真(Verilog based I2C interface EEPROM 24lc64 testing procedures, including the virtual model of EEPROM, the actual hardware verification is no problem, you can also simulate through the modleism)
Platform: | Size: 6144 | Author: jerrylili | Hits:

[Othereeprom

Description: 亲自编写并测试通过的 E2PROM 的Verilog代码,由于仅是研究学习之用,功能可以自己添加,继续完善。(The Verilog code of E2PROM is written and tested by itself. As a result of research and learning, functions can be added and perfected.)
Platform: | Size: 1024 | Author: mountaintaishan | Hits:

[OtherAt24c02

Description: i2c 的verilog 仿真模型,可用于搭建仿真平台。(The Verilog simulation model of I2C can be used to build simulation platform.)
Platform: | Size: 4096 | Author: SHENGLIDEREEN | Hits:

CodeBus www.codebus.net